About Sunburst Design, Inc.

Website
Website
Employees
Employees
1-10 employees View all
Industry
Industry
Higher Education
Description
Information
Sunburst Design, Inc. provides independent, high energy, world-class SystemVerilog, Synthesis and UVM Verification training. Our motto is, "Life is too short for bad or boring training!" Anybody can put together a good SystemVerilog or UVM training syllabus, but if you want your engineers to learn from the best, and be excited about the training, you should choose Sunburst Design training. Sunburst Design training courses were developed by Cliff Cummings, a world renown, award-wining author and presenter who also specializes in high-level SystemVerilog Design and SystemVerilog/UVM verification training for Verilog and VHDL Design Teams. Cliff has presented hundreds of SystemVerilog seminars and training classes and has been a featured speaker at multiple world-wide SystemVerilog and Assertion Based Verification seminars. Cliff has been an active participant on every IEEE Verilog and SystemVerilog committee, and has presented more than 40 papers on Verilog & SystemVerilog related design, synthesis, and OVM/UVM verification techniques, including 17 that were voted "Best Paper."

Sunburst Design, Inc. Alternatives

Frequently Asked Questions about Sunburst Design, Inc.

What is Sunburst Design, Inc. email format?

The widely used Sunburst Design, Inc. email format is {f}{last} (e.g. [email protected]) with 75.00% adoption across the company.


What is Sunburst Design, Inc. customer service number?

To contact Sunburst Design, Inc. customer service number in your country click here to find.


Supercharge your
Prospecting &
Outreach with
ContactOut
Supercharge your Prospecting &
Outreach with ContactOut

Search Portal

Find countless prospects outside of LinkedIn fast

Accelerate prospecting with instant access to 300M professionals from 30M companies with the right contact details.

Discover the source of our data

Learn more